结果:找到“台积电”相关内容100个,排序为按回复时间降序,搜索更多相关帖子请点击“高级
30份2021年芯片行业发展研究学习资料
30 个回复 - 2487 次查看 2021 年通信芯片行业研究报告pdf 2021车载存储及智能视频芯片持续放量.pdf 2021年中国硅片市场行业研究报告.pdf 2021年中国芯片刻蚀机行业研究报告.pdf 2021年终端芯片需求报告.pdf 2021中国集成电路行业 ...2021-9-4 16:28 - wz151400 - 现金交易版
2021年上半年半导体行业研究学习资料
16 个回复 - 1339 次查看 总结报告.pdf 赠送面板价格维持上涨,半导体产能紧张持续.pdf 赠送功率半导体板块投资机会解析.pdf 赠送 半导体产业高景气,封测行业龙头迎来业绩弹性f.pdf 三大角度分析LCD 面板能. 否变成弱周期行业.pdf 强 ...2021-7-25 19:09 - wz151400 - 现金交易版
2021年4月英文版投行研报学习资料
19 个回复 - 2144 次查看 2021年最新投行研报,值得学习 瑞信-全球航空航天与国防行业-商业客机的未来与ZG商飞入门:MAX停飞和COVID-19危机会不会颠覆长达十年的双头垄断?-2021.3.30-68页.pdf 摩根士丹利-全球医疗行业-全球组织与个人 ...2021-4-30 22:53 - wz151400 - 现金交易版
Morgan Stanley-全球芯片行业:芯片的冲突-台积电、三星和英特尔(英)-63页
5 个回复 - 696 次查看 国际投行报告-全球芯片行业:芯片的冲突-台积电、三星和英特尔(英)-63页 Global Technology Clash of Chips – TSMC, Samsung, and Intel The paths of these three companies will undoubtedly intersect as ...2022-6-4 21:15 - 1jian.fun - 行业分析报告
国际投行报告-全球芯片行业-芯片的冲突-台积电、三星和英特尔-2022.5.20-63页.pdf
3 个回复 - 568 次查看 国际投行报告-全球芯片行业-芯片的冲突-台积电、三星和英特尔-2022.5.20-63页.pdf2022-6-4 15:28 - Ginkgo2000 - 行业分析报告
晶圆代工篇-台积电领先,国内先进制程稳步前行
2 个回复 - 983 次查看 晶圆代工篇-台积电领先,国内先进制程稳步前行2021-6-10 20:52 - gccd - 行业分析报告
20210530-平安证券-半导体系列报告(三)_晶圆代工篇_台积电领先_国内先进制程稳步前
1 个回复 - 633 次查看 20210530-平安证券-半导体系列报告(三)_晶圆代工篇_台积电领先_国内先进制程稳步前行_40页_3mb2021-5-31 16:52 - wangjx_ - 行业分析报告
长城证券-电子元器件周报:华为极狐引燃自动驾驶,台积电推进3nm制程支撑行业创新升级
1 个回复 - 772 次查看 长城证券-电子元器件周报:华为极狐引燃自动驾驶,台积电推进3nm制程支撑行业创新升级-210416。28页2021-4-25 10:51 - wangjx_ - 行业分析报告
宁德时代深度4:复盘台积电,看宁德时代成长之路_28页_1mb
1 个回复 - 521 次查看 宁德时代深度4:复盘台积电,看宁德时代成长之路_28页_1mb2021-3-19 11:33 - wangjx_ - 行业分析报告
电子行业景气数据跟踪报告之三(2021年1月):台积电调高资本开支印证半导体高景气
1 个回复 - 307 次查看 电子行业景气数据跟踪报告之三(2021年1月):台积电调高资本开支印证半导体高景气,面板21Q1涨价确定性强-20210123-光大证券-56页_9mb2021-3-2 07:58 - wangjx_ - 行业分析报告
深度报告-20210225-天风证券-电气设备行业深度研究_宁德时代深度4_复盘台积电_看宁德
1 个回复 - 762 次查看 深度报告-20210225-天风证券-电气设备行业深度研究_宁德时代深度4_复盘台积电_看宁德时代成长之路_28页_1mb2021-2-28 18:31 - wangjx_ - 行业分析报告
20210118-天风证券-机械设备行业_台积电大幅上调2021年资本开支_电动车军备竞争有望利
1 个回复 - 407 次查看 20210118-天风证券-机械设备行业_台积电大幅上调2021年资本开支_电动车军备竞争有望利好设备放量_36页_2mb2021-1-21 17:44 - wangjx_ - 行业分析报告
英特尔打算由台积电为其生产第二代独显晶片
6 个回复 - 1261 次查看 据路透社报道,这两位知情人说,被称为“DG2”的这款晶片将在台积电使用一个新的晶片制程来生产,这个新制程尚无正式名称,是其七纳米制程的加强版。凭借绘图晶片,英特尔企图挺进火热的PC游戏市场。消息人士称,DG2 ...2021-1-12 15:22 - zbin7451f - 企业文化
中芯国际14纳米良品率追上台积电
12 个回复 - 1882 次查看 中芯国际日前传出14奈米制程工艺良品率已经追上台积电,达到业界水准的90%至95%,下一步就是高端7奈米。据联合新闻网消息,梁孟松日前曾发声明透露,自其2017年11月上任后,此后的三年里带领2000多位工程师,完成了从 ...2021-3-12 22:45 - zbin7451f - 企业文化
台积电为扩产积极招募人才
6 个回复 - 1000 次查看 半导体代工龙头台积电为扩产和赴美日设厂,要招募9000名新血再创新高。进军电动车市场的科技大厂鸿海科技,也积极招兵买马。学者指出,中美科技战已进入最关键时刻,双方都争抢台湾科技人才。台积电上周五公告这两个 ...2021-3-10 11:36 - zbin7451f - 企业文化
台积电提出推出性能更为卓越的3纳米芯片、2纳米芯片
7 个回复 - 1484 次查看 世界半导体大型企业台积电6月2日表示年内将建成电路线宽为2纳米(纳米为10亿分之1米)的超尖端芯片的试生产线的计划,还提出了建设量产工厂的方针。这是领先目前主流的尖端产品二至三代的产品,将进一步扩大领先于竞 ...2021-6-4 10:20 - zbin7451f - 企业文化
美商务部为何要求台积电等半导体企业上交商业数据?
15 个回复 - 1072 次查看 持续的全球“缺芯潮”带来最大的变化之一,就是使得各国开始重新审视本土芯片产业链。 美国时间9月24日,美国商务部工业安全局和技术评估办公室下发了一则《半导体供应链风险公开征求意见》的通知(Notice of Req ...2021-9-30 19:52 - zbin7451f - 企业文化
台积电超越腾讯成为亚洲市值最高公司
10 个回复 - 1145 次查看 台湾积体电路制造股份有限公司(简称台积电)已坐拥6000亿美元市值,成为亚洲市值最高的公司。据美国《华尔街日报》报道,据来自标普全球市场财智、基于可查询到的最新收盘价的数据,截至周二收盘,台积电在全球公司 ...2022-1-26 15:53 - zbin7451f - 企业文化
台积电成美日中必争筹码
7 个回复 - 968 次查看 受惠于全球半导体龙头厂商—Intel终究敌不过制程的缺陷而改变公司策略,未来仅留一部分自行生产,其余PC CPU、伺服器CPU、绘图芯片部分则将委由台积电以六奈米进行量产,显然过去台积电相当敬畏的半导体技术 ...2020-7-28 12:10 - zbin7451f - 企业文化
台积电断供,华为如何应对?
4 个回复 - 1776 次查看 芯片是5G基站核心元器件,而5G基站则是运营商5G建网的主要采购设备。根据国内三大运营商最新公布的集采数据,华为在其中拿到了70%左右的份额,如果按照三大运营商公布的今年50万基站建设任务来看,华为至少要出货30万 ...2020-5-21 08:31 - zbin7451f - 企业文化
同样都是代工厂,富士康和台积电的不同
13 个回复 - 14231 次查看 台积电全称:台湾积体电路制造股份有限公司简称台积电、TSMC。是全球第一家专业积体电路制造服务商。张仲谋1987年在中国台湾省创建。 台积电的主要业务是晶圆代工,台积电是目前世界上数一数二的晶圆代工企业。而 ...2019-5-1 19:19 - zbin7451f - 企业文化
陈文玲社科院博士著名经济学家对台湾和台积电的观点看科技之重要性
20 个回复 - 2753 次查看 「5月30日,由中国人民大学重阳金融研究院主办、中国人民大学中俄人文交流中心与中美人文交流研究中心承办、观察者网共同主办的中美论坛(2022年春季)在线举行。 会上,经济学家、中国国际经济交流研究中心总经济 ...2022-6-10 08:55 - uvwx - 马克思主义经济学
台积电发布第一季度亮眼财报,营收、净利润纷纷创下新高
0 个回复 - 287 次查看 KlipC报道,4月14日,台积电公布了2022年第一季度财报,营收、净利润纷纷创下新高,据数据显示该公司营收增长36%,达到创纪录的4,911亿元台币(170亿美元)。而净利润同比大涨45%,首次突破2000亿新台币(70亿美 ...2022-4-15 15:44 - KlipC - Forum
【独家发布】深度分析!从行业巨头看2021年全球EUV光刻机市场现状 销量迅猛增长、台积电成最大买家
0 个回复 - 649 次查看 中国光刻机行业主要上市公司:芯源微(688037)、华特气体(688268)等。本文核心数据:全球EUV光刻机销量、全球EUV光刻机销售额等1、EUV光刻机于近十年间兴起随着半导体技术的更新换代,光刻机也从最开始的g线(436nm)逐 ...2022-2-24 11:20 - 流水本无意 - 数据分析与数据挖掘
台积电会被拆分吗
4 个回复 - 753 次查看 有说法在东芝、阿尔斯通、华为后,美国下一个拆分的目标可能是台积电。很赞同这个看法。9月23日,美国商业部长雷蒙多要求台积电(还有三星)在45天(11月8日)里交出库存、订单、销售记录等商业机密,以确保美国供应 ...2021-10-25 10:31 - 深圳理财师-覃瀚 - 休闲灌水
美要芯片大厂交出机密数据,台积电会交出数据吗?
0 个回复 - 1277 次查看 芯片荒加剧!美国借机敲诈,要求独自掌握各大厂商机密数据,称依靠美国才能摆脱危机。芯片短缺的情况日益严峻,并且已经影响了汽车等相应的产业。目前更为严重的是,这一轮芯片荒将持续到2022年都不一定能得到缓解。 ...2021-9-30 11:31 - yskue683 - 投资人(实务版)
在全球“缺芯”困局下,台积电大幅增产下有望缓解吗?
0 个回复 - 252 次查看 OEXN报道:全球最大芯片制造商台积电7月15日发布了第二季度财报,报告显示,台积电当季营收约合人民币861亿元,同比增长19.8%,净利润约合311亿元,同比增长11.2%。 据财报数显示,台积电二季度来自汽车芯片的 ...2021-7-16 15:12 - OEXN - 爱问频道
“芯片五巨头”创新高,台积电市值超1.5倍茅台!暴涨近万亿,半导体股嗨了,光刻机巨
1 个回复 - 63 次查看 [/td][/tr] [/table][/td][/tr] [/table]2020-12-16 09:39 - shyxinan - Forum
[CommonWealth 天下杂志] 2020.10.21_不能只有台积电
1 个回复 - 814 次查看 2020-10-23 11:59 - guerlwa - 商学院
2021年8英寸产能仍缺,海思遭禁台积电5nm客户仅剩苹果
0 个回复 - 348 次查看 TrendForce集邦咨询旗下半导体研究处表示,2020年疫情导致众多产业受到冲击,然受惠于远距办公与教学的新生活常态,加上5G智能手机渗透率提升,以及相关基础建设需求强劲的带动,使全球半导体产业逆势上扬,预估2020 ...2020-10-12 14:26 - ucom - 休闲灌水
台积电5nm晶圆成本曝光
0 个回复 - 361 次查看 台积电在赢得 7 纳米大战后,加速扩产先进工艺,明年 EUV 机台将超过 50 台,远超对手三星的25台。同时在美国研究机构CSET的两位作者,借助模型预估得出台积电用于5纳米芯片制造的12吋晶圆成本约为16988美元,远高于 ...2020-10-10 16:21 - ucom - 休闲灌水
台积电美国5nm厂开始招人
0 个回复 - 373 次查看 11月3日消息,据台湾《经济日报》报道,台积电赴美建5nm厂一事有了新进展,台积电正在美国大举招募人才。台积电在职场社交网站领英(LinkedIn)放出数十项招聘信息,工作地点位于美国亚利桑那州凤凰城,包括3D IC封装 ...2020-9-30 08:44 - ucom - 休闲灌水
20200927-兴业证券-海外TMT周报_行业聚焦_智能手机9月观察;台积电23年试产2nm;京东
0 个回复 - 559 次查看 20200927-兴业证券-海外TMT周报_行业聚焦_智能手机9月观察;台积电23年试产2nm;京东健康赴港上市_31页_1mb2020-9-28 19:12 - wangjx_ - 行业分析报告
台积电、英特尔、三星投资ASML的真相
0 个回复 - 1060 次查看 ASML是个相对低调的公司,尤其在中文世界相关内容特别贫乏。[/backcolor] “光刻机”成为热点话题后,很多自媒体在有限的信息里不断地抄袭和臆测。我自己的文章也不少被剪接拼在各处,然而各种随意得出的结论都不大 ...2020-9-19 17:34 - 醉客天涯 - 博弈论
台积电:今年不会向华为供货,不评论许可证状态
0 个回复 - 282 次查看 10月15日下午,台积电第三季度财报会议在线上举行,台积电总裁魏哲家回应了近期有关华为的相关传闻。“我们注意到,有报道称台积电已取得对华为供货的许可,我们不评论毫无根据的传闻,也不想评论我们目前(许可证) ...2020-9-18 13:09 - ucom - 休闲灌水
20200914-太平洋-通信设备Ⅲ行业深度报告_美国犹豫的_台积电_中国坚定的_内循环
0 个回复 - 474 次查看 20200914-太平洋-通信设备Ⅲ行业深度报告_美国犹豫的_台积电_中国坚定的_内循环__39页_2mb2020-9-17 16:42 - wangjx_ - 行业分析报告
台积电:今年不会向华为供货,不评论许可证状态
0 个回复 - 318 次查看 10月15日下午,台积电第三季度财报会议在线上举行,台积电总裁魏哲家回应了近期有关华为的相关传闻。“我们注意到,有报道称台积电已取得对华为供货的许可,我们不评论毫无根据的传闻,也不想评论我们目前(许可证) ...2020-9-17 14:40 - ucom - 休闲灌水
台积电第二代3nm工艺2023年推出,苹果率先采用
0 个回复 - 211 次查看 12 月 2 日消息,据英文媒体报道,在 5nm 工艺大规模量产,为苹果等厂商代工相关的芯片之后,台积电下一阶段芯片制程工艺研发及量产的重点就将是更先进的 3nm 工艺,厂房在上个月已经完工,计划在 2021 年风险试产, ...2020-9-17 10:05 - ucom - 休闲灌水
3nm明年试产!台积电8000人枕戈待旦备战2nm
1 个回复 - 402 次查看台积电第26届技术研讨会上,台积电公布多项重磅消息:包括3nm工艺技术细节、将于2021年落成使用的可容纳8000名工程师的2nm芯片研发中心、整合旗下包括SoIC、InFO、CoWoS等3D封装技术平台3D Fabric、以及超低功耗工 ...2020-8-28 10:31 - ucom - 休闲灌水
高通突然宣布新政,台积电断供,华为中兴等架构商为啥四面楚歌?其他企业可以替代吗?
4 个回复 - 869 次查看 如题!这些架构商5年内会倒闭破产吗?为什么?2020-8-9 10:30 - 邓笑国 - 求助成功区
台积电2nm研发取得重大突破,将导入GAA技术
1 个回复 - 428 次查看 据台湾媒体报道,台积电冲刺先进制程,在2nm研发有重大突破,已成功找到路径,将切入环绕式栅极技术(gate-all-around,简称GAA)技术。 据台湾媒体报道,台积电冲刺先进制程,在2nm研发有重大突破,已成功找到路径, ...2020-7-15 17:38 - ucom - 休闲灌水
【学习笔记】今大学习了“制造的天花板—聊聊台积电和中芯国际的未来抉择
2 个回复 - 627 次查看 今大学习了“制造的天花板—聊聊台积电和中芯国际的未来抉择2020-6-27 17:16 - lg_li - Forum
台积电正式停止华为5nm投片
0 个回复 - 552 次查看 6月22日消息,据最新消息称,目前,台积电已完成代工华为海思 5nm 芯片订单,于上周已经正式停止投片。在此前的报道中,各大媒体均报道台积电方面正积极协调各渠道客户,试图说服其它客户暂停供货,腾出的产能可以在 ...2020-6-23 22:51 - ucom - 休闲灌水
继美国建厂后,台积电又传斥资700亿元建先进封测厂
1 个回复 - 390 次查看 继5月15日,台积电宣布在美国兴建先进晶圆厂之后,台积电斥巨资在中国台湾建设先进封测厂的消息也被传出。据台湾苗栗县长徐耀昌在Facebook上表示,台积电日前拍板通过投资一个先进封测厂,该封测厂位于苗栗县竹南镇, ...2020-6-3 16:20 - ucom - 休闲灌水
台积电赴美设厂或生变数?
1 个回复 - 316 次查看 美国参议院少数党领袖Chuck Schumer与两位民主党参议员日前发表指名给美国商务部长Wilbur Ross与国防部长Mark Esper的公开信,呼吁美国政府停止规划中的台积电(TSMC)亚利桑那州5nm晶圆厂计划...美国参议院少数党领袖 ...2020-5-29 10:04 - ucom - 休闲灌水
【学习笔记】今天上午找到了半导体研报~ 害,不知道这次台积电会怎么做
0 个回复 - 407 次查看 今天上午找到了半导体研报~ 害,不知道这次台积电会怎么做2020-5-28 23:28 - DearElizabeth - Forum
【学习笔记】台积电拿下苹果 5nm 处理器的全部订单 距离台积电答应在美国建厂 ...
1 个回复 - 372 次查看 台积电拿下苹果 5nm 处理器的全部订单 距离台积电答应在美国建厂不到一周时间,摩根大通发布的最新调研报告就提及,台积电已经拿到苹果 iPhone 12 的 A14 处理器的代工订单,他们将为苹果供应 5nm 制程工艺的处理器, ...2020-5-25 17:43 - ccwwccww - Forum
推动台积电美国新厂案的幕后「?」手
1 个回复 - 332 次查看 根据多方消息来源指出,晶圆代工大厂台积电(TSMC)在上周宣布“有意”于美国亚利桑那州设置一座5纳米晶圆厂,其动机很可能是受到多重政治因素的影响,此举可能会为台积电的未来发展蒙上阴霾。而就在台积电于5月15日宣 ...2020-5-25 16:09 - ucom - 休闲灌水
台积电的美国5纳米厂可能的定位推測
1 个回复 - 368 次查看 台积电终于以官方消息宣布在美国设厂的“意愿”,并透露了具体的时间表,不过还是有不少市场分析师认为,台积电此举主要是为了向美国(政府/客户)示好,大于实质意义。如知名半导体产业分析师陆行之(Andrew Lu)就 ...2020-5-20 16:08 - ucom - 休闲灌水
2019年财务数据-台积电财务估值模型
1 个回复 - 1190 次查看 2019年财务数据-台积电财务估值模型 2019年财务数据-台积电财务估值模型 2019年财务数据-台积电财务估值模型 原创不易噢 !!!!!!!!! 授权【经管之家】现金交易版块2020-4-22 09:33 - wind1976 - 现金交易版
【学习笔记】台积电5nm技术论文频曝光,晶体管密度有望提至7nm的两倍 根据推测 ...
0 个回复 - 281 次查看 台积电5nm技术论文频曝光,晶体管密度有望提至7nm的两倍 根据推测,5nm 技术将能在芯片中实现 171.3MTr/ mm2020-3-31 17:43 - ccwwccww - Forum
台积电法说会
0 个回复 - 443 次查看 2020-3-3 11:31 - 4035089503 - 行业分析报告
美国敦促台积电在美国本土生产军用芯片
0 个回复 - 377 次查看 时间:2020-01-17[/backcolor] 据《日本经济新闻》报导,有知情人士称台积电近期收到了一份来自美国的“加急订单”——在美国本土生产军用芯片。目前,受全球最大可编程芯片(FPGA)厂商赛灵思委托,台积电在为美军 ...2020-1-20 13:57 - ucom - 休闲灌水
Q4全球晶圆代工产值季增6%,台积电把持半数市占率称王!
1 个回复 - 305 次查看 据TrendForce旗下拓墣产业研究院统计,在业者库存逐渐去化及旺季效应优于预期的助益下,预估第四季全球晶圆代工总产值将较第三季成长6%。市占率前三名分别为台积电(TSMC)的52.7%、三星(Samsung)的17.8%与格罗方德(Gl ...2019-12-11 11:40 - ucom - 休闲灌水
【学习笔记】台积电本次上调全年资本开支指引40 亿美元,预计全年资本开支将达 ...
1 个回复 - 295 次查看 台积电本次上调全年资本开支指引40 亿美元,预计全年资本开支将达到140-150 亿美元区间,上调幅度较大,超出市场一致预期的115.7亿美元。公司大幅上调资本开支指引主要因为1)5G 部署速度快于预期,对7nm/5nm 相关平 ...2019-10-21 22:11 - 守候烟雨 - Forum
【学习笔记】半导体行业跟踪研究学习——台积电纪要 得益于采用台积电行业领 ...
0 个回复 - 541 次查看 半导体行业跟踪研究学习——台积电纪要 得益于采用台积电行业领先的7纳米技术的高端智能手机和高性能计算应用中的新产品发布,第三季度收入环比增长21.6%至2930亿新台币。毛利率比上一季度增长4.6个百分点,达到47 ...2019-10-19 13:04 - 1172 - Forum
台积电季度盈利超预期 因苹果手机需求旺盛
1 个回复 - 863 次查看 据彭博社17日的报道,这家全球最大的芯片代工企业公布,在截至9月份的季度中,公司实现净利润1011亿元台币,高于分析师962亿元的平均预估。根据先前公布的营收数据,当季收入为2930亿元台币。由于对新款iPhone的乐观 ...2019-10-18 09:46 - zbin7451f - 企业文化
【学习笔记】台积电对美芯片巨头开战,控其侵犯25项专利,要求在美禁售 Glob ...
0 个回复 - 271 次查看 台积电对美芯片巨头开战,控其侵犯25项专利,要求在美禁售 GlobalFoundries (格芯)对台积电启动的专利诉讼大战是越演越烈,继美国国际贸易委员会(ITC)在四天前表示将对台积电在内等多家企业启动“337 条款”调查 ...2019-10-3 22:45 - ccwwccww - Forum
【学习笔记】补以前作业。(三星火拼台积电) 1.芯片代工双寡头竞争,市占率台 ...
1 个回复 - 247 次查看 补以前作业。(三星火拼台积电) 1.芯片代工双寡头竞争,市占率台积电49.2%,三星18.0%,其他厂商夹缝中生存。中国大陆这几年大举进入IC市场,未来难料。 2.7nm制程下,芯片面积更小,功耗更低,能效提高,目前双雄你 ...2019-8-17 22:34 - ajie007 - Forum
【学习笔记】D2 芯片市场——三星与台积电
1 个回复 - 333 次查看 D2 芯片市场——三星与台积电2019-8-14 22:53 - xyfwyn1688 - Forum
【学习笔记】1.此文回溯了台积电与三星芯片代工厂抢单的拉锯战,台积电独占鳌 ...
1 个回复 - 482 次查看 1.此文回溯了台积电与三星芯片代工厂抢单的拉锯战,台积电独占鳌头,三星亦步亦趋,凭借技术优势逐渐拉小差距,双方竞争依旧在持续。 2.三星电子凭借着7nm EUV工艺技术和低价抢单手段,抢下了台积电的客户订单,但台 ...2019-8-14 22:45 - xmlight - Forum
【学习笔记】市场:1、现在芯片代加工市场主要有台积电和三星控制,由于市场份 ...
1 个回复 - 481 次查看 市场:1、现在芯片代加工市场主要有台积电和三星控制,由于市场份额的收缩竞争也越加激烈; 2、台积电和三星的订单争抢手段主要是制程技术的进步和成本价格的降低,由于三星和苹果在其它业务上有些摩擦使三星很被动 3 ...2019-8-14 21:54 - dtx. - Forum
【学习笔记】1 台积电第一,三星第二 2 三星最近正在加速发展 3 台积电的速度 ...
1 个回复 - 783 次查看 1 台积电第一,三星第二 2 三星最近正在加速发展 3 台积电的速度总是比三星快一点,而且性能优良,性价比高,在这个竞争的世界中,弱肉强食 4 台积电是主要以代工为生的,三星则有完整的生态系统支持 5 三星和苹果的 ...2019-8-14 23:01 - wanghong10 - Forum
【学习笔记】1.以台积电、三星为代表的芯片代工领域的头部玩家格局并未定型, ...
1 个回复 - 357 次查看 1.以台积电、三星为代表的芯片代工领域的头部玩家格局并未定型,各方在芯片代工订单、芯片制程技术研发和量产等领域不停厮杀。 2.与此同时,台积电和三星的较量也是纯芯片代工巨头和全产业链电子巨头之间的一场对决。 ...2019-8-14 23:15 - Elina9637 - Forum
【学习笔记】day 5 思考: 1. 大概了解了芯片代工市场的竞争格局,目前台积电 ...
0 个回复 - 229 次查看 day 5 思考: 1. 大概了解了芯片代工市场的竞争格局,目前台积电和三星电子是两种迥然不同的发展模式,三星电子是全产业布局,台积电则是专注于芯片加工生产,然而令我不懂的是,技术为什么由荷兰一家公司独有,为什 ...2019-8-14 22:30 - onlyfor - Forum
【学习笔记】Day11 1.在芯片代工市场中台积电一直占据半壁江山,今年三星电子 ...
1 个回复 - 344 次查看 Day11 1.在芯片代工市场中台积电一直占据半壁江山,今年三星电子凭借7nm EUV工艺和低价抢单手段,市场份额从第四杀到第二,双方在芯片代工领域仍在持续火拼。 2. 7nm及以下领域虽仅剩台积电和三星电子竞争,但双方仍 ...2019-8-14 21:38 - W1703261926466L - Forum
【学习笔记】2019/08/14 学习笔记1:三星与台积电争夺芯片代工业务已久,晶圆 ...
1 个回复 - 590 次查看 2019/08/14 学习笔记1:三星与台积电争夺芯片代工业务已久,晶圆芯片代工市场逐渐形成台积坐拥半壁江山,三星猛追,其他芯片厂商力量不足的局面。 学习笔记2:三星与台积电在芯片代工7nm制程领域激烈争夺,并且打起了 ...2019-8-14 14:34 - vvvv伽罗 - Forum
【学习笔记】Day18 1.三星电子和台积电在芯片制程工艺领域中竞争激烈,之前台 ...
1 个回复 - 680 次查看 Day18 1.三星电子和台积电在芯片制程工艺领域中竞争激烈,之前台积电通过更小的制程占据了优势,目前来看三星电子也跟上来了并抢到了大额订单 2.芯片的竞争力来自于制程、功耗、性能等等,如果在这些方面能夺得技术优 ...2019-8-14 09:28 - 同名氏 - Forum
【学习笔记】1.台积电和三星激烈竞争半导体市场,虽然台积电步伐在前,三星也 ...
1 个回复 - 375 次查看 1.台积电和三星激烈竞争半导体市场,虽然台积电步伐在前,三星也紧追不舍。 2.两大芯片巨头要迎来新的竞争浪潮。 3.订单争夺赛中,前段时间三星电子吞下英伟达下一代GPU肥单,并有传闻它也将高通骁龙865订单收入囊中 ...2019-8-14 12:08 - 小高兴666 - Forum
台积电:掌握华为芯片命运的台湾晶圆代工巨头
1 个回复 - 574 次查看 中美贸易战的发生,一下让人们平时关注不多的芯片行业成了焦点。尽管华为早在多年前就开始了在一些高端芯片和手机操作系统等关键领域的备胎计划,但供应链上还有两个部分很难在短时间内给出替代方案,一个是基于X8 ...2019-7-11 20:56 - 杨明凡 - 休闲灌水
台积电:美商务部并没有派员到公司调查供货华为
1 个回复 - 486 次查看 台积电:美商务部并没有派员到公司调查供货华为 环球网 今天16:37 台湾《经济日报》3日引述消息人士话称,美国商务部派员赴台湾调查台积电供货华为是否抵触美国法规。对此,据台湾 ...2019-6-3 21:26 - zbin7451f - 企业文化
台媒:美商务部赴台审查台积电供货华为是否违规,结果无可奈何
1 个回复 - 552 次查看 因不惧美国,坚持对华为供货,台湾积体电路制造公司(下称台积电)此前赢得了外界颇多掌声。3日,台湾《经济日报》援引消息人士的话披露,美国商务部在台积电发出不断供声明后立即派人赴台调查,想找出台积电出货华为是 ...2019-6-3 12:59 - amtw14 - 投资人(实务版)
台积电三度发声支持华为的勇气
0 个回复 - 349 次查看 所周知,华为本身不制造芯片,因此华为最薄弱的一环是依赖台积电的先进芯片工艺代工,所以,台积电的反应受到了各方关注。 在华为面临美国制裁,遭遇的断供问题中,ARM指令集授权、蓝牙、WiFi认证等问题其实并不是最 ...2019-5-28 14:33 - ucom - 休闲灌水
台积电备战二代7nm与5nm,重金抢下ASML半数EUV光刻机
0 个回复 - 554 次查看 台积电延续7纳米制程领先优势,为顺利在首季启动EUV技术加强版7纳米制程,传荷兰半导体设备大厂ASML的30台EUV设备,已经被台积电抢购18台……荷兰半导体设备大厂商ASML在财报会议上表示,外媒报导,晶圆代工龙头台积 ...2019-2-18 16:06 - ucom - 休闲灌水
华创证券台积电2018年第4季法说会点评:1Q19营运展望低于预期,后续库存去化情况是观
0 个回复 - 562 次查看 华创证券台积电2018年第4季法说会点评:1Q19营运展望低于预期,后续库存去化情况是观察重点20190118 欢迎订阅论坛文库[/backcolor]"[/backcolor]BAFE文摘[/backcolor]"查看更新和旧帖[/backcolor]2019-1-19 23:59 - ottohans - 行业分析报告
国金证券-半导体:透过台积电看半导体趋势,成也萧何,败也萧何20190117
0 个回复 - 492 次查看 国金证券-半导体:透过台积电看半导体趋势,成也萧何,败也萧何20190117 欢迎订阅论坛文库[/backcolor]"[/backcolor]BAFE文摘[/backcolor]"查看更新和旧帖[/backcolor]2019-1-19 23:07 - ottohans - 行业分析报告
中金公司《科技-全球观察-台积电-08年金融危机以来最大幅度环比收入下滑》7页
2 个回复 - 810 次查看 中金公司《科技-全球观察-台积电-08年金融危机以来最大幅度环比收入下滑》7页-201901182019-1-18 12:43 - yl36 - 投资人(实务版)
两年内,苹果将有且只有台积电一家处理器供应商
0 个回复 - 390 次查看 时间:2018-10-09 作者:Alan Patterson[/backcolor] 苹果可能会让台积电成为其应用处理器的唯一供应商,且时间长达至少两年…根据产业分析师的说法,由于其他晶圆代工厂未能达到预期产能,苹果(Apple)可能会 ...2018-10-11 12:23 - ucom - 休闲灌水
EUV技术的首款7+纳米芯片明年量产,台积电将成全球首家
1 个回复 - 368 次查看 台积电采用EUV技术的首款7+纳米芯片已经完成设计定案,明年第二季后将可顺利进入量产,届时台积电将成为产全球首家采用EUV技术量产的晶圆代工厂,5纳米制程预计明年4月可开始进行风险试产,将在2020年上半年进入量 ...2018-10-9 10:48 - ucom - 休闲灌水
台积电投资194亿美元用于3nm制程工艺
2 个回复 - 413 次查看 其中台积电5nm节点投资250亿美元,而3nm工艺也确定了投资计划了,投资规模194亿美元,目前台南园区的3nm工厂已经通过了环评初审,预计最快2022年底投产......近日,台积电公布了3nm制程工艺计划,目前台南园区的3nm晶 ...2018-8-20 08:55 - ucom - 休闲灌水
台积电病毒危机背后:一种被漠视的产业壁垒
2 个回复 - 424 次查看 阅读:1797 回复:0   下午听了一下台积电“病毒案”发布会。新上任的总裁魏哲家,率领CFO何丽梅、安全技术长官等人做了系列澄清。   自始至终,魏满面微笑,一头白发特别富有台湾地区IC代工业 ...2018-8-9 11:12 - ucom - 休闲灌水
市值超英特尔,主攻7纳米的台积电正站起来反击三星
1 个回复 - 498 次查看 台积电市值大涨超过英特尔,成为全球最大半导体厂商。分析表示,主要因为:今年第四季7奈米产品可望小量生产;可望拿下高通7纳米与苹果A13等关键订单。同时,由于IC产业今年成长力度增长,让台积电折旧工艺产能满载, ...2017-5-12 12:19 - ucom - 休闲灌水